Elektronické hodiny - SPŠ sdělovací techniky

Transkript

Elektronické hodiny - SPŠ sdělovací techniky
STŘEDNÍ PRŮMYSLOVÁ ŠKOLA SDĚLOVACÍ TECHNIKY
110 00 Praha 1, Panská 856/3,
221 002 111, 221 002 666, www.panska.cz, e-Mail: [email protected]
MATURITNÍ ZKOUŠKA
PRAKTICKÁ ZKOUŠKA Z ODBORNÝCH PŘEDMĚTŮ
Elektronické hodiny
Studijní obor:
Třída:
Školní rok:
26-45-M/004
Digitální telekomunikační technika
4.B
Jakub Suchý, Filip Klaudy
2008/2009
autoři
„Prohlašuji, že jsem tuto práci vypracoval samostatně a použil jsem literárních pramenů a
informací, které cituji a uvádím v seznamu použité literatury a zdrojů informací.“
V Praze, dne ........................
…….........................
podpis
V Praze, dne ........................
…….........................
podpis
strana 4
ANOTACE
Účelem naší dlouhodobé maturitní práce bylo sestrojit ručičkové hodiny ovládané pomocí
mikroprocesoru PIC16F648A. K ovládání ručiček hodin byly použity tři krokové motory.
Převod mezi motory a ručičkami je řešen pomocí řemenic a gumiček. Ručičky jsou umístěny v jedné ose, na trubičkách různých průměrů a ve spodní části těchto trubiček jsou řemenice. Celek je zamontován v plastové krabičce. Při spuštění nebylo možné zjistit aktuální polohu ručiček, proto byly přidány tři optické senzory pro nalezení polohy ručiček
„12:00“ . Napájení je řešeno pomocí síťového transformátoru (230V). V práci se ukázalo
jako
nezbytné
použít
dva
mikroprocesory
z důvodu
malého
počtu
výstupů
z mikroprocesoru PIC. Pro nastavení aktuálního času byly použity čtyři tlačítka, jedno na
vteřiny, druhé na minuty, třetí na hodiny a čtvrté slouží k aktivaci nastavovacího režimu.
ANNOTATION
Object of our long-term school-leaving work was to construe hand clock per microprocessor
PIC16F648A. To control hands were used three stepping motors. Gearing between motors
and hands is solved by the help of band-wheels and elastic bands. All hands are situated in
the same axis on the pipes of different diameters. On the lower part of these pipes are bandwheels. The whole unit is built-in plastic box. After activation we couldn´t locate actual position
of hands, so there were added three optical sensors for finding actual position of hands, concretely “12:00”. A supply is solved with transmission transformer (230V). During the work we
realized to use two microprocessors by reason of insufficient number of outputs from PIC. To
set up actual time were used four buttons, the first for seconds, the second for minutes, the
third for hours and the fourth serves for activation of setting mode.
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 5
OBSAH
I. Schema zapojeni
II.Popis zapojení a konstrukce naší DMP
str.7
str.8
II.I Mechanická část
str.8
II.II Napájecí část
str.9
II.III Výkonová část
str.9
II.IV Snímací část
II.V Ovládací část
II.VI Logická část
str.9
str.10
str.11
III. Mikroprocesor PIC16F628
str.12
III.I Popis procesoru
str.12
III.IIPopis periférií
str.12
III.III Speciální funkce procesoru
str.13
III.IV Technologie CMOS
str.13
III.V Celkové schéma pinů
str. 14
III.VI Popis jednotlivých pinů
str.15
III.VII.I Program pro PIC č.1
III.VII.II Program pro PIC č.2
IV. Stabilizátory napětí
IV.I Parametrické
IV.II Zpětnovazební (degenerativní)
V. Krokové motory
str.16
str.19
str.24
str.24
str.25
str.26
V.I Princip funkce, metody řízení
str.26
V.II Anatomie krokového motoru
str.26
V.III Funkce krokového motoru
str.28
V.IV Metody řízení krokových motorů
str.29
V.IV.I Unipolární versus bipolární řízení
str.29
V.IV.II Jednofázové versus dvoufázové řízení
str.29
V.IV.III Řízení s plným versus polovičním krokem
str.29
V.V Metody řízení v praxi
str.30
VI.I Seznam použité literatury
str.31
VII. Seznam použitých odborných výrazů
str.32
VIII. Seznam použitého softwaru
str.33
IX. Seznam použitých obrázků
str.34 Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 6
I. SCHEMA ZAPOJENÍ
Obr. 1-Schema zapojeni
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 7
Obr. 2- plošný spoj
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 8
II. POPIS ZAPOJENÍ A KONSTRUKCE NAŠÍ DMP
II.I Mechanická část
Hodiny jsme se rozhodli zabudovat do plastové krabičky. Do středu krabičky jsem umístili
plech, nad plechem jsme umístili ciferník, pod plechem motory a elektronika. Motory jsme
přidělali na plech pomoci matičky kterou jsme na něj připájeli, do matičky jsme našroubovali závitovou tyč a na tyč pomoci dvou matiček přichytili motor (výhoda tohoto řešení je
možnost motor výškově naladit). Ve středu plechu jsme připájeli trubičku, do které jsme
nasunuli 3 menší trubičky (jedna pro sekundovou ručičku, druha pro minutovou a pro hodinovou třetí).
Obr. 3- Ukotvení motorku
Obr. 4- Spodní část souosých tyček na ručičky
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 9
II.II Napájecí část
Obsahuje usměrňovací diodu, kvůli ochraně proti přepólování napětí. Dále obsahuje
stabilizátor napětí (5V), který slouží k napájení logické části hodin. Před a za stabilizátorem jsou umístěny elektrolytické kondenzátory pro filtraci napětí.
II.III Výkonová část
Obsahuje čtyři tranzistory ke každému motoru a ke každému tranzistoru je připojen odpor
k vymezení pracovního bodu tranzistoru.
II.IV Snímací část
Obsahuje tři IR senzory, pro každou ručičku jeden. Senzor se skládá z IR diody a
fotorezistoru, tyto dvě součástky jsou nasměrovány proti sobě. Při přerušení procházejícího
IR světla k fotorezistoru se sníží jeho hodnota. K fotorezistoru je připojen rezistor jako
napěťový dělič. Výstup z děliče je přiveden do PICa. Snímače byly použity pro nalezení
polohy ručiček „12:00“ (všechny ručičky svisle nahoru), odtud se dále nastavuje aktuální
čas.
Obr. 5- Snímací IR čidlo
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 10
II.V Ovládací část
Obsahuje tři tlačítka k nastavování hodinové, minutové a sekundové ručičky. Dále osahuje
přepínač k aktivaci a deaktivaci ovládací části.
Obr. 6- Ovládácí tlačítka s kontrolní diodou
Obr. 7- Schema zapojení tlačítek
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 11
II.VI Logická část
Obsahuje dva procesory PIC 16F648A, které zpracovávají signály z IR senzorů, tlačítek a
generují signály pro jednotlivé motory. Jeden z PICů požívá krystal jako zdroj hodinového
signálu a druhý PIC používá vnitřní oscilátor.
Obr. 8- Schema plošného spoje
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 12
III. MIKROPROCESOR PIC16F628
•
patří do rodiny PIC16CXX. Jsou to univerzální 8‐mi bitové jednočipové mikrokontrolery. Všechny tyto řadiče jsou vyrobeny technologií CMOS a jsou založeny na rozšířené archi‐
tektuře RISC (Reduced Instruction Set). Mají oddělenou programovou a datovou paměť (Harvardská architektura). Vnitřní systém redukuje nutnost připojení externích obvodů na minimum, čímž zlevňuje konečné aplikace. III.I Popis procesoru :
• Sada 35 instrukcí
• Všechny instrukce používají jeden cyklus programu, při odskoku a návratu dva
cykly
• Provozní frekvence: hodiny až 20Mhz – 200ns jeden instrukční cyklus
• Interupt capability
• 16 speciálních funkcí hardwarových registrů
• 8-úrovňový hardwarový zásobník
• Přímý, nepřímý a poměrný adresový režim
III.II Popis periférií :
• 15 nastavitelných vývodů – vstup / výstup
• Velké zatížení do / z pro ovládání LED
• Analogový komparátor s- dva analogový komparátory
- programovatelné referenční napětí (Vref)
- multiplexovaný vstup a vnitřní referenční napětí
- výstup komparátoru pro externí požití
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 13
• Časovač0: 8-bitový časovač / čítač s 8-bitovou předděličkou
• Časovač1: 16-bitový časovač / čítač s externí krystalem
• Časovač2: 8-bitový časovač / čítač s 8-bitovým registrem, předděličkou a děličkou
• Zachytávač, 14synchronn, PWM (CCP) modul
- zachytávač je 16-bit, max. rozlišení je 12,ns
- porovnání je 16-bit, max rozlišení je 200ns
- PWM max. rozlišení je 10-bit
• Univerzální synchroní/14synchronní příjmač/vysílač USART/SCI
• 16bytů společné RAM
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 14
III.III Speciální funkce procesoru :
•
•
•
•
•
•
•
•
•
•
•
•
Po zapnutí RESET (POR)
Časovač zapnutí (PWRT)
Časovač zapnutí oscilátoru (OST)
Brown-out Detect (BOD)
Watchdog (WDT)
Multiplexován vstup MCLR
Programovatelné nastavení pull-up odpory na PORTB
Programovatelná ochrana kódu
Programování nízkým napětím
Spící mód
Výběr typu oscilátoru
Sériové programování v zapojení (ICSP) pomocí dvou vývodů
III.IV Technologie CMOS :
• Nízký odběr, vysoká rychlost CMOS FLASH technologie
• Úplně statický design
• Rozsah pracovního napětí:
- PIC16F62x 3,0V – 5,5V
- PIC16LF62x 2,0V – 5,5V
• Komerční, průmyslový a zvláštní teplotní rozsah
• Nízký odběr:
- < 2mA při 5V, 4MHz
- 15uA při 3V, 32kHz
- < 1uA stand-by při 3V
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 15
III.V Celkové schéma pinů
Obr. 9‐ Piny PICu Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 16
III.VI Popis jednotlivých pinů
vývod
pin
typ
provedení
I/O/P
popis
PORTA je obousměrný vstupně/výstupní
port
RA0/AN0
RA1/AN1
RA2/AN2/Vref
RA3/AN3/CMP1
RA4/TOCKI/CMP2
RA5/MCLR/THV
RA6/OSC2/_CLKOUT
RA7/OSC1/CLKIN
17
18
1
2
3
4
15
16
I/O
I/O
I/O
I/O
I/O
I
I/O
I/O
ST
ST
ST
ST
ST
ST
ST
ST
MCLR/Vpp
4
I/P
ST
RESET/vstup programovacího napětí. Tento
vývod je aktivní v nule, kdy provádí RESET
obvodu.
Vss
5
P
-
zem
Může být jako zdroj CLK signálu pro TMR1.
Jako výstupní má otevřený kolektor!!!
RB0/INT
RB1/RX/DT
RB2/TX/CK
RB3/CCP1
RB4/PGM
RB5
RB6/T1OSO/T1CKI
RB7/T1OSI
6
7
8
9
10
11
12
13
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
TTL/ST
TTL
TTL
TTL
TTL
TTL
TTL/ST
TTL/ST
Vdd
14
P
-
I = Input (vstup) O = Output (výstup)
Power
- = nevyužito
TTL = TTL input
Schmittův obvod)
PORTB je obousměrný vstupně/výstupní
port. PORTB může mít programově připojen
slabý vnitřní pull-up odpor na všech vstupech.
může být vybrán jako zdroj vnějšího přerušení
přerušení při změně vstupu
přerušení při změně vstupu
přerušení při změně vstupu/CLK při programování
přerušení při změně vstupu/DATA při programování
napájení +5V
I/O = Input/Output (vstup/výstup)
P=
ST = Schmitt Trigger input (na vstupu
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 17
III.VII.I Program pro PIC č.1
-je použit krystal ke generaci hodinového signálu,je nutný k dosažení vyšší přesnost
ti generování jedné sekundy
-tento PIC řídí motor pro sekundovou ručičku, zpracovává signály z jednoho
senzoru, z tlačítka pro nastavování sekund a z přepínače.
;DMP pic#1
;Fosc = 4MHz
;RA6-7 krystal
;RB0-3 motor1 (vteriny)
;RA0 tlacitko - set
;RA1 vypinac - enable
;RA2 ir cidlo (vterinovy)
__config 0x3F01
LIST P=16F648A,R=HEX
INCLUDE "P16F648A.INC"
org
goto
00h
START
org 04h
bcf INTCON, 2 ;nulovani od tmr0
movlw .146
movwf TMR0
decfsz 20h, 1
retfie
movlw .148
movwf 20h
motor
incf 21h, 1
movf 21h, 0
sublw .4
btfsc STATUS, 2
clrf 21h
movf 21h, 0
call tabulka
movwf PORTB
retfie
START
bcf INTCON, 7
movlw 07h
movwf CMCON
;vypnout komparator
bsf STATUS, 5
movlw B'11111111'
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 18
movwf TRISA
movlw B'11110000'
movwf TRISB
movlw B'11000101' ;delicka 64 pro tmr0
movwf OPTION_REG
bcf STATUS, 5
clrf 20h
clrf 21h
clrf 24h
clrf 25h
movlw .10
movwf 26h
clrf PORTA
clrf PORTB
set_12.00
incf 21h, 1
movf 21h, 0
sublw .4
btfsc STATUS, 2
clrf 21h
movf 21h, 0
call tabulka
movwf PORTB
movlw .35
movwf 25h
cek
decfsz 24h, 1
goto cek
decfsz 25h, 1
goto cek
decfsz 26h, 1
goto set_12.00
movlw .1
movwf 26h
btfsc PORTA, 2
goto CYKL
goto set_12.00
CYKL
bsf INTCON, 5
bsf INTCON, 7
;povol prerus tmr0
btfsc PORTA, 1
goto enable
goto CYKL
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 19
enable
bcf INTCON, 7
btfsc PORTA, 0
goto nastavovani
btfss PORTA, 1
goto CYKL
goto enable
nastavovani
btfss PORTA, 0
goto enable
incf 21h, 1
movf 21h, 0
sublw .4
btfsc STATUS, 2
clrf 21h
movf 21h, 0
call tabulka
movwf PORTB
movlw .100
movwf 25h
cek2
btfss PORTA, 0
goto enable
decfsz 24h, 1
goto cek2
decfsz 25h, 1
goto cek2
goto enable
Tabulka
addwf
retlw
retlw
retlw
retlw
;tabulka pro řízení kroků motoru
PCL, 1
B'00000001'
B'00000010'
B'00000100'
B'00001000'
end
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 20
III.VII.II Program pro PIC č.2
- jako zdroj hodinového signálu je použit vnitřní oscilátor
- tento PIC ovládá dva motory, a to minutový a hodinový. Zpracovává signály ze
všech tří IR senzorů. Při průběhu vteřinové ručičky senzorem se posune minutová
ručička o jeden krok. Při průběhu minutové ručičky senzorem se posune hodinová.
Dále zpracovává
signály z nastavovacích tlačítek pro hodinovou a minutovou ručičku a z přepínače.
; program pro DMP pic #2
;ovladač pro 2 krokove motory
;RA0-3: motor #3 (hodiny)
;RB0-3: motor #2 (minuty)
;RB4 ir cidlo 1 (vterinovy)
;RB5 ir cidlo 2 (minutovy)
;RB6 ir cidlo 3 (hodinovy)
;RA4-5 tlacitka
;RA7 vypinac - enable
__config 0x3F10
LIST P=16F648A,R=HEX
INCLUDE "P16F648A.INC"
org
goto
START
00h
START
bcf INTCON, 7
movlw 07h
movwf CMCON
;vypnout komparator
bsf STATUS, 5
movlw B'11110000'
movwf TRISA
movlw B'11110000'
movwf TRISB
bcf STATUS, 5
clrf 20h
clrf 21h
clrf 22h
clrf 24h
clrf 25h
movlw .10
movwf 26h
clrf PORTA
clrf PORTB
pauza
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 21
cek4
btfsc PORTB, 4
goto pauza
btfss PORTB, 4
goto cek4
set_12.00_min
incf 21h, 1
movf 21h, 0
sublw .4
btfsc STATUS, 2
clrf 21h
movf 21h, 0
call tabulka
movwf PORTB
movlw .20
movwf 25h
cek
decfsz 24h, 1
goto cek
decfsz 25h, 1
goto cek
decfsz 26h, 1
goto set_12.00_min
movlw .1
movwf 26h
btfsc PORTB, 5
;minutovy cidlo
goto pauza1
goto set_12.00_min
pauza1
movlw .10
movwf 26h
set_12.00_hod
clrf PORTB
incf 22h, 1
movf 22h, 0
sublw .4
btfsc STATUS, 2
clrf 22h
movf 22h, 0
call tabulka
movwf PORTA
movlw .30
movwf 25h
cek5
decfsz 24h, 1
goto cek5
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 22
decfsz 25h, 1
goto cek5
decfsz 26h, 1
goto set_12.00_hod
movlw .1
movwf 26h
btfsc PORTB, 6
;hodinovy cidlo
clrf PORTA
btfsc PORTB, 6
;hodinovy cidlo
goto CYKL
goto set_12.00_hod
CYKL
btfsc PORTA, 7
goto enable
;enable vypinac
btfsc PORTB, 4
goto plus_minuta
btfss PORTB, 4
bcf 30h, 0
;vterinovy cidlo
btfsc PORTB, 5
goto plus_hodina
btfss PORTB, 5
bcf 30h, 1
;minutovy cidlo
;vterinovy cidlo
;minutovy cidlo
goto CYKL
plus_minuta
btfsc 30h, 0
goto CYKL
cek3
incf 21h, 1
movf 21h, 0
sublw .4
btfsc STATUS, 2
clrf 21h
movf 21h, 0
call tabulka
movwf PORTB
bsf 30h, 0
decfsz 24h, 1
goto cek3
decfsz 25h, 1
goto cek3
clrf PORTB
goto CYKL
plus_hodina
btfsc 30h, 1
goto CYKL
incf 22h, 1
movf 22h, 0
sublw .4
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 23
cek6
btfsc STATUS, 2
clrf 22h
movf 22h, 0
call tabulka
movwf PORTA
bsf 30h, 1
decfsz 24h, 1
goto cek6
decfsz 25h, 1
goto cek6
clrf PORTA
goto CYKL
enable
btfsc PORTA, 4
goto nastavovani
;minutovy tlacitko
btfsc PORTA, 5
;hodinovy tlacitko
goto nastavovani2
clrf PORTB
clrf PORTA
btfss PORTA, 7
goto CYKL
;enable vypinac
goto enable
nastavovani ;nastavovani minut
btfss PORTA, 4
;minutovy tlacitko
goto enable
incf 21h, 1
movf 21h, 0
sublw .4
btfsc STATUS, 2
clrf 21h
movf 21h, 0
call tabulka
movwf PORTB
movlw .100
movwf 25h
cek2
btfss PORTA, 4
goto enable
decfsz 24h, 1
goto cek2
decfsz 25h, 1
goto cek2
goto enable
;minutovy tlacitko
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 24
nastavovani2
;nastavovani hodin
btfss PORTA, 5
;hodinovy tlacitko
goto enable
incf 22h, 1
movf 22h, 0
sublw .4
btfsc STATUS, 2
clrf 22h
movf 22h, 0
call tabulka
movwf PORTA
movlw .100
movwf 25h
cek7
btfss PORTA, 5
goto enable
decfsz 24h, 1
goto cek7
decfsz 25h, 1
goto cek7
goto enable
tabulka
addwf
retlw
retlw
retlw
retlw
;hodinovy tlacitko
PCL, 1
B'00000001'
B'00000010'
B'00000100'
B'00001000'
end
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 25
IV. STABILIZÁTOR NAPĚTÍ
STABILIZÁTOR je elektrotechnická součástka, která umožňuje stabilizovat výstupní napětí nebo proud při změnách:
a) výstupního proudu
b) vstupního napětí
c) teploty okolí
Na jiných veličinách obvykle hodnota výstupního napětí nezávisí, pokud ano, je třeba sledovat i takovéto vlivy (např. stárnutí součástek, vliv elektromagnetického rušení apod.).
Kromě stabilizačních účinků (kterými je míněna regulace na konstantní hodnotu) každý
typ stabilizátoru více či méně snižuje střídavou složku výstupního napětí (zvlnění) a pracuje tedy jako filtr.
• Úkolem stabilizátoru napětí je udržovat konstantní napětí na výstupu při změně zatěžovacího proudu (při změně RZ) nebo při změně vstupního napětí. Dělí se na dvě
skupiny - parametrické a zpětnovazební (degenerativní).
IV.I-Parametrické
Využívají parametrů součástek, nejčastěji velmi strmého průběhu VA charakteristik zenerovy diody nebo lavinové diody v závěrném směru. Nevýhoda je, že zenerovou
diodou musí protékat poměrně velký proud. Proud zátěží protéká přes odpor R - snižuje se
účinnost η. Výhodou je jednoduché zapojení a omezený zkratový proud (odporem R).
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 26
Obr. 10 – Parametrický stabilizátor
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 27
IV.II -Zpětnovazební (degenerativní)
Reagují na změnu vstupního proudu. Jako regulační prvek se používá tranzistor zapojení se
zátěží, který mění svůj odpor podle velikosti výstupního napětí.
Obr. 11- Zpětnovazební stabilizátor
(Zesilovač odchylky zesiluje rozdíl mezi referenčním napětím a částí výstupního napětí.
Výstup zesilovače odchylky budí sériový regulační tranzistor, sníží-li se například na výstupu napětí, zvýší se regulační odchylka, tranzistor je buzen větším napětím. Jeho vnitřní
odpor se zmenší a napětí na výstupu se zvětší)
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 28
V. KROKOVÉ MOTORY
V.I PRINCIP FUNKCE, METODY ŘÍZENÍ
Občas se v praxi vyskytne potřeba pohonu, který umí přesně nastavit svoji polohu a tuto
polohu i přes působící síly udržet (např. souřadnicové zapisovače nebo počítačem řízené
obráběcí stroje). Právě to jsou aplikace jako šité na míru pro krokové motory. V robotice
používáme krokové motory z důvodu jejich snadné obsluhy. Pro precizní řízení rychlosti
nepotřebujeme naprogramovat komplexní PID kontrolér, a pokud motory nepřetěžujeme,
lze se obejít bez zpětné vazby o změně natočení – stačí počítat kroky.
V rámci objektivity hned na začátku zmíníme i nevýhody pohonů s krokovými motory.
Nejzávažnější je pravděpodobně trvalý odběr proudu, i když se motor netočí. Nepříliš
výhodný je i poměr výkonu (krouticího momentu) vůči hmotnosti motoru. Ani cena není
ve srovnání s DC motory příliš výhodná.
V.II ANATOMIE KROKOVÉHO MOTORU
Na obrázcích je krokový motor s 200 kroky na otáčku (1.8 stupně na krok). Stator krokového motoru je tvořen sadou cívek. Pólové nástavce statoru jsou vroubkovány se stejnou roztečí jako je rozteč magnetů na rotoru. Toto je jedna z částí zvyšující přesnost motoru při stejném počtu cívek. Rotor je tvořen hřídelí usazenou na kuličkových ložiskách a prstencem permanentních magnetů. ;
Obr. 12- Celkový pohled na krokový motor
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 29
;
Obr. 13- Stator
;
Obr. 14- Rotor
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 30
Obr. 15- Všechny části motorku
V.III FUNKCE KROKOVÉHO MOTORU
Základní princip krokového motoru je úplně jednoduchý. Proud procházející cívkou statoru vytvoří magnetické pole, které přitáhne opačný pól magnetu rotoru. Vhodným zapojováním cívek dosáhneme vytvoření rotujícího magnetického pole, které otáčí rotorem. Podle požadovaného krouticího momentu, přesnosti nastavení polohy a přípustného odběru volíme některou z variant řízení. Všechny možnosti jsou probrány v další části textu. Kvůli přechodovým magnetickým jevům je omezena rychlost otáčení motoru a to na několik stovek kroků za sekundu (závisí na typu motoru a zatížení). Při překročení této maximální rychlosti (nebo při příliš velké zátěži) motory začínají ztrácet kroky. Podle požadovaného krouticího momentu, přesnosti nastavení polohy a přípustného odběru volíme některou z variant řízení. Všechny možnosti jsou probrány v další části dokumenta‐
ce. Kvůli přechodovým magnetickým jevům je omezena rychlost otáčení motoru a to na několik stovek kroků za sekundu (závisí na typu motoru a zatížení). Při překročení této maximální rychlosti (nebo při příliš velké zátěži) motory začínají ztrácet kroky Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 31
V.IV METODY ŘÍZENÍ KROKOVÝCH MOTORŮ
V.IV.I Unipolární versus bipolární řízení Při unipolárním řízení prochází v jednom okamžiku právě jednou cívkou. Motor s tímto buzením má nejmenší odběr, ale také poskytuje nejmenší krouticí moment. Výhodou tohoto řešení je jednoduché zapojení řídící elektroniky ‐ v podstatě stačí jeden tranzistor na každou cívku. Pro menší motory lze výhodou použít integrovaný obvod ULN2803. V jednom pouzdře je dostatek budičů pro řízení dvou motorů. Při bipolárním řízení prochází proud vždy dvěma protilehlými cívkami. Ty jsou zapojené tak, že mají navzájem opačně orientované magnetické pole. Motor v tomto režimu poskytuje větší krouticí moment, ovšem za cenu vyšší spotřeby. Pro řízení jsou zapotřebí 2 H‐můstky: pro každou větev jeden. To ve výsledku znamená jednak složitost zapojení a větší počet kontrolních linek (jejich počet lze zredukovat pomocí přídavné logiky). Vhodným integrovaným ob‐
vodem pro bipolární řízení Obr.16 Zapojení cívek motorku menších motorů je H‐můstek L293D. V.IV.II Jednofázové versus dvoufázové řízení Jednofázové řízení znamená, že magnetické pole generuje pouze jedna cívka (případně dvojice cívek při bipolárním buzení). Při dvoufázovém řízení generují shodně orientované magnetické pole vždy dvě sousední cívky. Daní za vyšší krouticí moment je dvojnásobná spotřeba oproti řízení jednofázovému. V.IV.III Řízení s plným versus polovičním krokem Řízení s plným krokem znamená, že na jednu otáčku je potřeba přesně tolik kroků, kolik zubů má stator daného motoru. Dosáhneme ho použitím kterékoliv doposud uvedené metody řízení. Řízením s polovičním krokem dosáhneme dvojnásobné přesnosti. Technicky se jedná o střídání kroků s jedno‐ a dvoufázovým řízením. Pro naši aplikaci jsme zvolili řízení unipolární s plným krokem. Unipolární z důvodu jednoduchosti zapojení, plný krok jsme zvolili s ohledem na menší spotřebu. Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 32
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 33
V.V METODY ŘÍZENÍ V PRAXI
Následující obrázky používají číslování cívek podle tohoto schématu (pro jednoduchost uvažujeme zjednodušený motor se čtyřmi kroky na otáčku). Je‐li cívka nakreslena hnědě(v tabulce označená "0"), je bez proudu. Magnetické pole modře nakreslené cívky (v tabulce označené "‐") přitahuje červený konec magnetu (rotoru) a naopak ‐ magnetické pole červeně nakreslené cívky (v tabulce a na obrázku označené "+") přitahuje modrý konec magnetu. Unipolární jednofázové řízení s plným krokem Unipolární dvoufázové řízení s plným krokem Unipolární řízení s polovičním krokem Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 34
VI.I SEZNAM POUŽITÉ LITERATURY
http://cs.wikipedia.org/wiki/Stabilizátor_napětí
http://robotika.cz/articles/steppers/cs
http://www.cmail.cz/doveda/procesory/pic16f62x/index.htm#%C3%BAvod
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 35
VII. SEZNAM POUŽITÝCH ODBORNÝCH VÝRAZŮ
Mikroprocesor PIC16F648A
programovatelná polovodičová součástka
Krokový motor
speciální druh mnohapólového synchronního motoru
Řemenice
součást řemenového převodu
Optický senzor
soustava dvou světlo citlivých součástek monitorujících světelný paprsek procházející mezi nimi
Síťový transformátor
transformátor na převod síťového napětí (230V) na
stejnosměrné napětí 7,5V (v našem případě)
Usměrňovací dioda
slouží k usměrnění střídavého proudu
Stabilizátor napětí
elektrotechnická součástka, která umožňuje stabilizovat výstupní napětí nebo proud
Tranzistor
polovodičová součástka, kterou tvoří dvojice přechodů PN
Rezistor
pasivní elektrotechnická součástka projevující se
v elektrickém obvodu v ideálním případě jedinou
vlastností - elektrickým odporem
Fotorezistor
pasivní elektrotechnická součástka, jejíž elektrický
odpor se snižuje se zvyšující se intenzitou dopadajícího světla
Napěťový dělič
zařízení pro získání výstupního napětí (Uout) které je
úměrné vstupnímu napětí (Uin)
Krystal
pasivní elektronická součástka používaná v elektronických obvodech jako rezonátor s velmi přesnou a
stabilní rezonanční frekvencí
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 36
CMOS
(Complementary Metal–Oxide–Semiconductor, doplňující se kov-oxid-polovodič) je používaná na převážnou většinu integrovaných obvodů
RISC
jedna z architektur procesorů. Zkratka pochází
z anglického originálu Reduced Instruction Set Computer, v překladu počítač s redukovanou instrukční sadou
Programová paměť
paměť, ve které je uložen program nutný pro funkci
procesoru
Datová paměť
typu EEPROM, ukládají se do ní data, po vypnutí
napájení se nevymaže
Interrupt capability
přerušení, odskok v programu
Hardwarový zásobník
místo kam se uloží návratová adresa při přerušení
nebo funkci CALL
Analogový komparátor
porovnává dvě napětí (v rozsahu 0-5V) a vyhodnocuje
které je větší
Časovač
počítá čas nezávisle na programu a při napočítání určitého času vyvolá přerušení
VIII. SEZNAM POUŽITÉHO SOFTWARU
-
Microsoft Windows Office 2007 Microsoft Windows Malování verze 6.0 MPLAB IDE v8.30 CadSoft Computer GmbH EAGLE WinPic - PIC Programmer for Windows Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 37
IX. SEZNAM POUŽITÝCH OBRÁZKŮ
Obr. 1-Schéma zapojeni
str.7
Obr. 2- plošný spoj
str.7
Obr. 3- Ukotvení motorku
str.8
Obr. 4- Spodní část souosých tyček na ručičky
str.8
Obr. 5- Snímací IR čidlo
str.9
Obr. 6- Ovládací tlačítka s kontrolní diodou
str.10
Obr. 7- Schéma zapojení tlačítek
str.10
Obr. 8- Schéma plošného spoje
str.11
Obr. 9- Piny PICu
str.14
Obr. 10 – Parametrický stabilizátor
Obr. 11- Zpětnovazební stabilizátor
str.24
str.25
Obr. 12- Celkový pohled na krokový motor
str.26
Obr. 13- Stator
str.27
Obr. 14- Rotor
str.27
Obr. 15- Všechny části motorku
str.28
Obr.16 Zapojení cívek motorku s tranzistory
str.29
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 38
Formální stránka zpracování praktické zkoušky z odborných předmětů
Strana 1

Podobné dokumenty

Protokol GPS pro MORSE

Protokol GPS pro MORSE Přijímač GPS zpracovává polohové signály z družic. Z těchto signálů určuje zejména čas a umístění na zemském povrchu (zeměpisná šířka a délka, nadmořská výška). Data z GPS můžeme použít pro aktivní...

Více

Vývoj padového hudebního nástroje ÚDAJE O PROJEKTU

Vývoj padového hudebního nástroje ÚDAJE O PROJEKTU efektivních řešení se pak vzhledem ke skutečnostem uvedeným v odstavci 2.1. nejlépe nabízí právě zařízení ovládané prsty horní končetiny. Tedy takzvaná padová konstrukce. Výhodou pak je možnost zap...

Více

Prostředky nouzové komunikace 2.díl

Prostředky nouzové komunikace 2.díl neznamená dvacetkrát menší dosah! Při dobré anténě to v praxi často znamená jen to, ţe vás protistanice v rámci ČR nebo Evropy neuslyší v kvalitě „Hi-Fi“, ale slaběji (s náznakem šumu). Zato malá v...

Více

PIC 12F675

PIC 12F675 doplnČny.

Více

Osmibitové mikrořadiče Microchip

Osmibitové mikrořadiče Microchip instrukcí, jako periferie jsou na čipu osmibitové čítače, osmibitový A/D převodník a komparátor. Výpočetní výkon je 5 MIPS. Střední řada (Mid-Range) s pamětí OTP nebo Flash až 8KB, instrukční soubo...

Více

Monolitické mikropočítače IV

Monolitické mikropočítače IV rozsahu napájecího napětí V dd . Tato paměť není umístěna v prostoru datových registrů, ale je přístupná nepřímo, prostřednictvím registrů speciálních funkcí. Pro zápis a čtení této paměti slouží č...

Více

PIC krok za krokem

PIC krok za krokem struktuře 1024 slov programové paměti typu Flash, 68 bytů paměti RAM a 64 bytů paměti EEPROM, určené k uchování konstant. Dále obvod obsahuje 8 bitový čítač TMR0 a 8 bitovou předděličku, hlídací ob...

Více