Číslicová technika 4

Transkript

Číslicová technika 4
Číslicová technika 4 – učební texty (SPŠ Zlín)
str.: - 1 -
8. ZOBRAZOVÁNÍ INFORMACE V ČÍSLICOVÉ TECHNICE
ZOBRAZOVACÍ PRVKY
a) Zobrazovací jednotky LED
Zkratka LED vznikla z anglických slov "Light Emitting Diode", což bychom přeložili jako
světloemitující diody. Nejznámější z nich jsou sedmisegmentové číslicovky nazývané také
segmentovky, s červeně, zeleně, žlutě, oranžově či modře svítícími segmenty. Segment skutečně tvoří
světelná dioda ve tvaru tenkého proužku. Pouzdro segmentovky je obdélníkového tvaru, v základním
provedení jen o několik milimetrů delší a širší než běžné pouzdro obvodu TTL (viz obr. LQ425).
Vyrábějí se samozřejmě i s většími rozměry, a také sdružené do několikamístného displeje. Každý
segment se může samostatně rozsvítit prostřednictvím vývodů na pouzdru.
Kromě sedmi segmentů obsahuje číslicovka někdy jednu či dvě tečky.
Příklad zapojení diod - segmentů s jejich označením naleznete na obr.
Vhodnou kombinací rozsvícených segmentuje možné zobrazit dekadickou
číslici 0 - 9 a také některá písmena případně znaky. Kromě toho se vyrábějí
zvláštní zobrazovací jednotky se znaky +, -, l, stručně zvané znakovky.
Obr. : sedmisegmentová zobrazovací jednotka LED
Světelné diody pro segmentovky jsou vyrobeny převážně z materiálů Ga As P. Zkratky znamenají
galium, arzenid, fosfid. Dnes se kromě těchto základních používají i jiné materiály a také k
vyjmenovaným barvám přibyly různé barevné odstíny. Diody tvořící segmenty se projevují stejně jako
běžné světelné diody: při průchodu proudu polovodičovým přechodem se uvolňují fotony a vzniká
slabé záření.
Obr. : rozmístění a značení vývodů (včetně deset. tečky) segmentovky LQ425
Číslicovka samozřejmě nemůže mít vyvedeny oba
vývody každého segmentu. Jednak to není účelné a ani
by se na pouzdro nevešly. Proto jsou některé vývody
uvnitř spojeny a vyvedeny společně. Na spodní straně
pouzdra vystupují dvě řady vývodů, seřazených po
sedmi. Mají stejné vzdálenosti, na jaké jsme zvyklí u
pouzder TTL. Různí výrobci se poněkud liší v
rozmístění vývodů a také v počtu diod na segment. Ten
může obsahovat např. dvě diody zapojené do série, ale u velkých číslicovek nalezneme i čtyři diody v
sérii. Chceme-li zapojovat konkrétní číslicovku, musíme znát přesné přidělení vývodů a vědět, které
vývody jsou společné. To zjistíme v katalogu výrobce.
Segmentovky se vyrábějí se společnou anodou (tyto jsou vhodné pro spínání dekodérů) nebo se
společnou katodou.
Vývody anod se spojí paralelně a připojí k napětí +5 V. Jednotlivé katody nutno připojit k zemi, avšak
každou přes sériový rezistor. Jeho úkolem je omezit protékající proud na předem stanovenou hodnotu,
která bývá u červeně svítících segmentů nejvýše 30 mA. Zeleně a žlutě svítící segmenty mají dovolený
trvalý proud menší, zpravidla 25 mA. Je třeba mít na paměti, že právě tak jako běžné světelné diody
nelze připojit přímo ke zdroji, ani diody v podobě segmentů to nedovolují. Stejně tak lze zničit segment
větším proudem než dovoleným. Jestliže zničíme třeba jen jediný segment, číslicovka je nepoužitelná.
S tím souvisí otázka: jaké napětí se naměří přímo na segmentu číslicovky? Tvoří-li segment jedna
dioda, pak se prakticky napětí neliší od napětí na běžných svítivých diodách. Na červeně svítícím
segmentu naměříme přibližně 1,6 V, na žlutě svítícím kolem 2 V a o něco více na zeleně svítícím nejvýše však 3 V. Rozdíl napětí proti zdroji zůstává na sériovém rezistoru. Tvoří-li segment dvě diody
v sérii, pak na segmentu naměříme dvojnásobné napětí. Zbytek zůstává opět na rezistoru. Bez
sériového rezistoru se nesmí žádný segment připojit ke zdroji napětí, protože dynamický odpor jednoho
segmentu v propustném směru není větší než několik ohmů. Velkým proudem by se ihned zničil.
b) Zobrazovací jednotky LCD
Jsou to zobrazovací prvky patřící do skupiny pasivních prvků, protože samy světlo nevyzařují. Základ
tvoří materiál zvaný kapalné krystaly. Je to ostatně vyjádřeno i v samotném názvu pomocí zkratek,
představující slova "Liquid Crystal Diode". Z tohoto materiálu jsou zhotoveny jednotlivé segmenty
Číslicová technika 4 – učební texty (SPŠ Zlín)
str.: - 2 -
číslicovky. Segmenty se projevují tak, že se využívá jevu zvaného dynamický rozptyl světla.
Princip LCD: kapalné krystaly se normálně chovají jako opticky čiré prostředí. Jakmile se však
dostanou do působnosti elektrického pole (toto pole nemusí být nikterak silné), dojde k jakési orientaci
částic. Navenek se to projeví zhoršenou propustností světla, tedy ztmavnutím. V našem případě
ztmavnutím příslušného segmentu. Jakmile elektrické pole přestane působit, propustnost světla
kapalných krystalů se okamžitě vrátí. Znovu nabudou původního čirého stavu, segment je průhledný,
není vidět - zmizí.
K vytvoření elektrického pole se převážně používá střídavé napětí, protože stejnosměrné pole zkracuje
životnost zobrazovacích prvků.
Zobrazovací jednotka se skládá ze dvou skleněných destiček, mezi nimiž jsou
kapalné krystaly. Jedna destička má napařenu průhlednou vodivou vrstvu v podobě
požadovaného obrazce: číslice, znaky ap. Na druhé destičce je rovněž vodivá
průhledná vrstva, fungující jako společná elektroda. Z obou stran segmentovky
jsou pak nalepeny polarizační fólie, bez kterých není zobrazení segmentů vidět.
Přední je průhledná, zadní fólie u transmisního provedení rovněž průhledná, u
reflexního odrazná.
Obr. : zobrazovací jednotka LCD (s tekutými krystaly)
BUDIČE ZOBRAZOVACÍCH PRVKŮ
a) Dekodér – převodník kódu BCD sedmisegmentových zobrazovacích jednotek
Je to logický obvod speciálně konstruovaný k sedmisegmentovým zobrazovacím jednotkám. Tento
dekodér se do značné míry liší od dekodéru MH7442. Zásadní rozdíl je v tom, že na výstupní straně
dekodéru se neaktivuje pro hledané číslo pouze jeden výstup, nýbrž více výstupů. Je to dáno způsobem
skládání číslice z jednotlivých segmentů. Má-li se zobrazit dekadické číslo 8, pak se aktivují dokonce
všechny výstupy, to je celkem sedm. Naproti tomu pro zobrazení jedničky postačí dva výstupy, což je
zároveň nejmenší možný počet. Vstupy tohoto dekodéru se nijak neliší od ostatních. Jsou čtyři, ale
dekodér má ještě další tři vstupy, pověřené zvláštními funkcemi. Formou integrovaného obvodu se
takový převodník vyrábí pod označením 7447 nebo D146, D147 a další.
Obr.. : schematická značka a vývody integrovaného obvodu 7447
4
~B I/RB O
13
5
3
~RB I
OA
~L T
OB
OC
7
1
2
6
A
OD
B
OE
C
OF
D
OG
12
11
10
9
15
14
Schématickou značku integrovaného obvodu 7447 (D147) najdete na obr. Malé kroužky na pravé
straně u výstupů mají znázornit, že výstup je aktivní v logické nule, stejně jako u dekodéru 7442. V
levé vstupní části nacházíme čtyři vstupy. V tom se nijak neliší od ostatních dekodérů. Zajímavé jsou
však další pomocné nebo spíš řídicí vstupy. Tak např. vstup BI slouží k potlačení svitu nuly. Jinak
řečeno, když nepovažujeme za potřebné, nebude nula na číslicovce svítit. Pochopitelně se to týká
pouze nuly na začátku vícemístného čísla, zobrazeného více dekádami. Tím se zamezí, aby třeba jedna
číslice nemělo vlevo před sebou několik svítících nul. Zvýší se tak přehlednost zobrazeného údaje a
sníží spotřeba energie. Se spotřebou souvisí i další vstup BO, který umožňuje řídit intenzitu jasu
segmentů. Když na vstup BO přivedeme signál obdélníkového průběhu o nízké frekvenci, např. l kHz,
pak intenzita jasu bude záviset na tvaru impulsu. Čím kratší bude horní vodorovná úsečka s úrovní H
proti dolní s úrovni L, tím kratší časové úseky budou segmenty svítit. Pozorovatel to vnímá jako menší
jas segmentu. Krajní možností je úplné zhasnutí všech segmentů. K tomu dojde v případě, že se na
Číslicová technika 4 – učební texty (SPŠ Zlín)
str.: - 3 -
vstup BO dostane úroveň L. Někdy tento vstup slouží k signalizaci, která má zprostředkovat sdělení
obsluhujícímu. Při nízkém kmitočtu 1 až 4 Hz segmentovka výrazně bliká, čímž upozorňuje na
vzniklou závadu. Zcela opačně se projevuje vstup LT, který dokáže rozsvítit všechny segmenty
číslicovky najednou. Zobrazí se přitom osmička. Toto je vhodné pro ověření bezchybné funkce všech
segmentů.
Obvod 7447 pracuje jako budič s otevřeným kolektorovým výstupem a liší se pouze velikostí
výstupního napětí. Plně vyhovuje D147, který smí pracovat s výstupním napětím až 15 V. Dekodér
D146 má povolené výstupní napětí ještě vyšší.
Známe již vše, co je potřeba k sestavení jedné dekády čítače se zobrazením čísla v dekadické soustavě.
Z obrázku je patrné, že impulsy, které se mají čítat a následně vyhodnotit, vstupují nejdříve do čítače.
Impulsy mají mít strmé průběhy, tj. ostré hrany, případně protažené ve špičky. Sinusový průběh je
nevhodný. Z čítače přechází signál do dekodéru. Je to čtyřbitový signál, který vystupuje ze čtyř výstupů
čítače a vstupuje do čtyř vstupů dekodéru. Ten pak dekóduje dvojkové číslo až do velikosti 1001.
Zároveň aktivuje (sepne) některé výstupy, které pak rozsvítí odpovídající segmenty číslicovky. Tak se
zobrazí desítkové číslo.
Obr. : Schéma zapojení dekády složení s čítače, dekodéru a zobrazovací jednotky
Obr.: tabulka zobrazení číslic různými budiči
Číslicová technika 4 – učební texty (SPŠ Zlín)
str.: - 4 -
9. APLIKACE - PŘÍKLADY OBVODŮ V ČÍSLICOVÉ TECHNICE
9.1. KOMPARACE (ROVNOST) BINÁRNÍCH ČÍSEL
Komparátor je zařízení sloužící pro porovnání dvou binárních čísel. Číslicovým komparátorem se pak
rozumí logický obvod schopný porovnat hodnoty dvou jednobitových nebo vícebitových binárních
čísel. Nejjednodušším číslicovým komparátorem je prosté hradlo XOR - logická funkce
nonekvivalence (EXCLUSIVE-OR), neboli výlučný (výhradní) logický součet – symbol:  .
Pravdivostní tabulka nonekvivalence - XOR, příklad zapojení obvodu a schematický znak:
A
0
0
1
1
B
0
1
0
1
Z=A  B
0
1
1
0
A
=1
&
B
1
Z
1
&
1
Výlučný (výhradní) logický součet je složitější než prostý logický součet: Z  A  B  A  B
Z pravdivostní tab. plyne, že pokud jsou hodnoty obou vstupů stejné, je výsledkem log. 0; v opačném
případě je na výstupu log. 1. Výlučnost spočívá ve skutečnosti, že výlučný log. součet se od prostého
logického součtu liší pouze v posledním řádku pravdivostní tabulky (zde je: 1  1=0 ).
Někdy se také můžeme setkat s hradly XNOR (log. funkce ekvivalence -shodnost): Z  A  B  A  B
Ty mají oproti hradlům XOR výstup negovaný (při shodě je na výstupu log. 1, při rozdílu pak log. 0).
Pravdivostní tabulka ekvivalence (shodnosti) – XNOR, příklad zapojení obvodu a schematický znak:
A
B
Z  A B
0
0
1
1
0
1
0
1
1
0
0
1
=
A
&
1
1
Z
1
B
&
Pokud by bylo třeba porovnat dvě vícebitová čísla, musíme použít na každý bit jedno hradlo. Výstupy
dílčích hradel XOR je třeba přivést na vstupy hradla OR, protože jedině tak bude výstup v log.0 ,
pokud jsou všechny vstupy v log.0. Tedy při shodě obou vícebitových čísel je výstup hradla OR
v log.0, v opačném případě v log.1 (pokud bychom používali hradla XNOR, musely by být dílčí
výstupy podrobeny logickému součinu – použili bychom tedy hradlo AND). Obrázek ukazuje zapojení
číslicového komparátoru pro 2 čtyřbitová čísla.
Z výše uvedeného textu je jasné, že
X-OR 7486
musí být použity čtyři hradla XOR
1
(zde s výhodou použijeme obvod
A0
=1
3
4002
2
74LS86) a dále 4vstupové hradlo OR
B0
(protože se hradlo OR v integrované
4
A1
=1
6
1
1
formě nevyrábí, použijeme
5
B1
Z
74HCT4002, které obsahuje dvě
9
A2
=1
8
4vstupová hradla NOR; vstupy
10
B2
jsou přivedeny do prvního hradla a
12
jeho
výstup je znegován
A3
=1
11
13
druhým hradlem).
B3
Obr.: čtyřbitový číslicový komparátor XOR
Číslicová technika 4 – učební texty (SPŠ Zlín)
str.: - 5 -
8-bitový komparátor 74LS688
Jak je z předchozího patrné, realizace číslicového komparátoru pro 4bitová čísla
není příliš náročná (vystačíme se dvěma IO). V číslicové technice je velmi často
třeba 8bitový číslicový komparátor. K tomuto účelu nám slouží integrovaná forma
- obvod 74LS688 - porovnává dvě 8bitová čísla. Bity prvního čísla přivádíme na
vstupy P0 až P7, druhé číslo pak na vstupy Q0 až Q7. Jsou-li číselné hodnoty
reprezentované bity obou čísel shodné je výstup P  Q nastaven do log.0. Pokud
se čísla liší, je na výstupu log.1. Vstup G je použitelný pro kaskádní řazení
obvodů (tak lze například ze dvou obvodů 74LS688 vybudovat 16bitový adresový
komparátor – vstupy G se navzájem oddělí invertorem) nebo pro připojení nižší
složky adresového dekodéru. Je-li, G = 1 je výstup P  Q nastaven do log.1 bez
ohledu na stav ostatních vstupů. V opačném případě ( G = 0) je výstup P  Q
nastaven do log.1 pouze v tom případě, že se obě porovnávaná čísla liší. Rozložení
vývodů ukazuje obrázek.
Velikostní komparátor 74LS85
Ve dřívějším textu jsme se zmiňovali o číslicových komparátorech, které informují pouze o tom, zda
se dvě čísla liší nebo zda jsou stejná. To je pro většinu aplikací naprosto postačující. V případě, že je
třeba čísla porovnat vůči sobě, můžeme použít obvod 74LS85. Jedná se o 4bitový velikostní
komparátor (velikostní komparátor znamená, že, kromě obvyklého výstupu indikujícího shodu
porovnávaných čísel jsou zde ještě výstupy informující o tom, zda je první
číslo větší nebo menší než druhé). Obvod je vybaven vstupy pro kaskádní
řazení, což nám umožňuje srovnávat čísla větší délky, pokud použijeme více
těchto obvodů.
Vstupy A0 až A3 slouží k načtení hodnoty prvního čísla. Podobně vstupy B0
až B3 jsou určeny pro druhé číslo. Vstupy A < B(i), A = B(i) a A > B(i)
jsou určeny pro kaskádní řazení. V tomto případě je připojíme na stejně
označené výstupy obvodu v nižším řádu. Pokud obvod do kaskády
nezapojujeme, musí být vstupy A < B(i) a A>B(i) připojeny na log.0 a
vstup A = B(i) zase na log.1.
Výstupy A < B(o), A = B(o) a A > B(o) jsou aktivní v log.1 a indikují
příslušnou relaci obou porovnávaných čísel (A je menší než B, A a B jsou
shodná, A je větší než B).
9.2. OBVODY PRO ARITMETICKÉ OPERACE
1) Dvojkové sčítání
Čísla ve dvojkové soustavě se sčítají obdobně jako v desítkové soustavě. Při součtu dvou bitů, jejichž
hodnota je 0 nebo 1 vznikne součet, jehož hodnota je rovněž 0 nebo 1 a popřípadě přenos do dalšího
řádu, jehož hodnota pak je 1. Sčítáme-li dvě čísla o větším počtu bitů, je třeba sečíst vždy dva bity a
A B přenos od nižšího řádu součet přenos do dalšího řádu k součtu přičíst přenos od sčítání bitů
nejbližšího méně významného řádu.
0 0
0
0
0
V tabulce je ukázán součet tří čísel:
0 0
1
1
0
(součet čísel A, B a
0 1
0
1
0
přenosového bitu)
0 1
1
0
1
1 0
0
1
0
1 0
1
0
1
1 1
0
0
1
1 1
1
1
1
Číslicová technika 4 – učební texty (SPŠ Zlín)
str.: - 6 -
Dvojkové odčítání: máme-li od čísla A odečíst číslo B tj. (A – B), můžeme postupovat tak, že
číslo B učiníme záporným a přičteme k číslu A. Platí tedy: (A – B) = A + (-B)
Na tomto principu lze čísla odečíst s použitím sčítačky. Musíme však nejdříve vytvořit zápornou
hodnotu dvojkového čísla B. Jedna z možných metod používá dvojkově komplementární aritmetiku.
Číslo se neguje obrácením hodnoty všech bitů čísla a přičtením jedničky k výsledku negace.
Pracujeme-li s dvojkovými čísly ve dvojkově komplementárním zápisu, udává vždy bit čísla, který je
nejvíce vlevo znaménko čísla. Je to tzv. znaménkový bit. Je-li tento bit 1, je číslo záporné. Je-li tento
bit 0 je číslo kladné. Ukažme si příklad dvojkově komplementárního odečtení čísel 3-2. Dvojkové číslo
2 je v tomto zápisu (010)2 . Vytvoříme-li z něj komplementární tvar a připočteme-li 3 tj. (011)2 - bude
to ekvivalentní výrazu 3-2. Je-li na konci součtu nějaká informace o přenosu, zanedbává se. Potom:
Obrácená (negovaná) hodnota čísla 2: (101), přičteme číslo 1: (001), potom výsledek: (110). Pokud
sečteme toto číslo s číslem 3 tj.: (110) + (011) = ( 001)
Pokud bychom chtěli realizovat zapojení obvodu pro sčítání a odčítání dvou jednobitových čísel s
přenosem do nižšího a do vyššího řádu, pak by obecně návrh mohl například vypadat takto:
Obvod sčítá nebo odčítá dvě jednobitová čísla A a B. Výsledek operace je na výstupu S/R (součet nebo
rozdíl) a na výstupu Y (přenos do vyššího bitu). Přenos do nižšího bitu je na výstupu Z. Obecně pro
součet dvou jednobitových čísel platí tabulka:
Pro aritmetický součet platí: 0 + 0 = 0
B A Výstup Přenos Přenos
0+1=1
S/R
Y
Z
1 + 1 = 10
0
0
0
0
0
1
0
1
0
1
1
0
0
1
0
0
1
0
1
1
Nejprve je potřeba sestavit pravdivostní tabulky součtu a
rozdílu dvou jednobitových binárních čísel. Při výpočtu
budeme uvažovat s dvoubitovým vyjádřením čísel 0 a 1
(tj. 00 a 01).
Pokud počítáme:
(A - B) = 01 – 00 = 01 + (-00) = 01 + (01+01) = 1 + 10 =
(ale protože jednička je informace o přenosu, potom ji
můžeme zanedbat) = 01 + 00 = 01 ……. bez přenosu do nižšího řádu.
Pokud počítáme: (A - B) = 00 – 01 = 00 + (-01) = 00 + (10 +01) = 00 + 11 = 11 …. přenos jedničky do
nižšího řádu (viz v tabulce : Přenos Z).
Pro výstup součtu a rozdílu z pravdivostní tabulky vyplývá, že tabulky jsou shodné (proto nepoužijeme
značení S/R z části teorie a můžeme použít jen jednu proměnnou: X) . Z tabulky vyplývá logický vztah
(možno použít Karnaughovu mapu):
Při: C = 0
Při: C = 1
X  A B  A B  A B  A B  A B  A B
B A
A+B
A-B
Přenos Součet Přenos Rozdíl Abychom mohli použít výstup Y pro přenos jak
do vyššího, tak do nižšího řádu, použijeme dalšího
Y+
X
YX
vstupu označeného C, kterým budeme řídit buď
0
0
0
0
0
0
součet čísel nebo rozdíl čísel (C=0 pro součet a
0
1
0
1
0
1
C=1 pro rozdíl). Toho docílíme při použití
0
1
1
1
1
0
součinových hradel.
1
0
0
0
1
1
Pro rozdílový přenos (Y-) do nižšího řádu platí:
Pro součtový přenos (Y+) do vyššího řádu platí:
(Y )  A  B
(Y )  A  B
Výsledná hodnota Y: Y  C  (Y )  C  (Y )  C  ( A  B)  C ( A  B)
Po úpravě za použití De-Morganových zákonů se dopracujeme k výslednému vztahu pro Y.
Y  C  A B C  A B
Číslicová technika 4 – učební texty (SPŠ Zlín)
str.: - 7 -
Pro realizaci můžeme použít obvody typu 7400, 7404 a 7408.
Obr.: Schéma zapojení sčítačky a odčítačky:
V CC
5V
A
J1
X
1
Y
&
K ey = A
J2
&
B
1
K ey = B
&
&
&
&
&
J3
C
&
1
K ey = Sp ace
SČÍTAČKA 74LS83 (74LS283)
Obvod 74LS83 je označován jako úplná čtyřbitová sčítačka. Tento obvod je vybaven vstupy A0 až A3,
B0 až B3 a CIN (Carry in) , výstupy jsou označeny S0 až S3 a COUT (Carry out)
Vstupy A0 až A3 a B0 až B3 slouží pro připojení dvou sčítaných čísel. Vstup CIN je určen pro přenos z
nižšího řádu. Tento vstup je vhodný pro vzájemné propojení několika obvodů 74LS83 za účelem
sčítání delších čísel. Podobný účel má výstup COUT, který je přenosem do vyššího řádu. Výstupy S0 až
S3 slouží pro zobrazení součtu. Chování celého obvodu lze popsat touto rovnicí:
A3A2A1A0 + B3B2B1B0 +CIN = COUTS3S2S1S0
Z výše uvedené rovnice plyne, že výstup COUT lze chápat jako čtvrtý bit výstupu. Pokud je výsledek
součtu nižší nebo roven 1111 (1510 ) je COUT = 0, v opačném případě je COUT nastaven a výstupy
S0 až S3 obsahují spodní 4 bity výsledku.
Ze znalostí počítání s čísly lze poměrně snadno upravit chování sčítačky tak, abychom zrealizovali
odčítačku. Platí, že rozdíl (A-B) vypočítáme jako: A + 2D(B).
Symbolem 2D je označen tzv. druhý doplněk. Podobně můžeme označit první doplněk jako 1D.
První doplněk je prostá negace bitů, matematicky:
1D(A3A2A1A0) = A3 A2 A1 A0
Druhý doplněk je negace bitů, ke které přičteme jedničku, matematicky:
2D(A3A2A1A0) = A3 A2 A1 A0 + 1
Mělo by být jasné, že první doplněk můžeme realizovat velmi snadno pomocí invertorů. Pro přičtení
jedničky využijeme vstup CIN, který bude trvale připojen na log.1.
Na základě toho můžeme zrealizovat obvod, který se bude chovat jako sčítačka nebo jako odečítačka.
Při sčítání jsou vstupy B přivedeny bez negace a C IN = 0. Při odečítání musí být vstupy B znegovány a
CIN = 1.
Číslicová technika 4 – učební texty (SPŠ Zlín)
str.: - 8 -
Sériová vícebitová sčítačka dvou binárních čísel
Čísla A a B, která máme sečíst, jsou zapsána ve dvou posuvných registrech. Registry jsou na obr.
znázorněny jako čtverce s vyznačenými bity čísel. Zápis A, B je možno realizovat např. s použitím
paralelních vstupů do těchto registrů. Čísla jsou v registrech uspořádána tak, že nejblíže výstupu jsou
nejméně významné bity. Výstupy obou registrů jsou připojeny ke sčítačce, v našem případe ke sčítačce
poloviční (viz dále). Hodinovými impulsy jsou nyní čísla A a B přesouvána z registrů do sčítačky.
Sčítačka sečte každé dva odpovídající bity čísel a současné vytvoří přenos (vznikne-li při sčítání).
Výsledek součtu je veden na sériový vstup registru čísla A, přenosy se vedou do registru čísla B. Tímto
způsobem se sečtou všechny odpovídající bity čísel A a B tak, že vzniknou dílčí součty a odpovídající
přenosy (pokud byly).
Tyto výsledky jsou
uloženy v obou posuvných registrech. Nyní se
podle týchž pravidel a
stejným způsobem
sečtou nová data, obsažená v registrech. Postup
se opakuje tak dlouho, až
je přenos nulový, tj.až
posuvný registr čísla B
obsahuje samé nuly. V
registru A je pak zapsán
součet čísel (A + B).
Obr.: uspořádání sériového sčítání vícebitových čísel pomocí poloviční sčítačky
Poloviční sčítačka:
ve výše uvedeném uspořádáni byla použita tzv. poloviční sčítačka. Je to kombinační obvod, který sčítá
bity dvou čísel, přičemž do součtu nezahrnuje přenos od nejblíže nižšího řádu. Tento přenos je však
poloviční sčítačkou určován a je k dispozici na druhém výstupu obvodu. Funkci poloviční sčítačky lze
snadno vysledovat ze schématu. Předpokládejme, že přicházejí bity A=0, B=l. Na jednom vstupu členu
1 je úroveň L od bitu A, na jednom vstupu členu 2 je rovněž úroveň L od bitu B. Na výstupu S je tedy
úroveň H, tj. S=l. Na jednom vstupu členu 3 je úroveň L od bitu A, jeho výstup je tedy na úrovni H a
výstup přenosu na úrovni L (nulový přenos). Bude-li A=l a B=0, vymění si členy 1 a 2 úlohy a
výsledek bude stejný. Je-li A=0 a B=0, je na obou vstupech členu 2 úroveň H od bitů A a B a
výstup S je na úrovni L. tj. S=0. Přenos je opět nulový.
Je-li A=l a B=l, je na obou vstupech členu 1 úroveň H a výstup S bude na úrovni L, tj. S=0. Úroveň H
však přichází na oba vstupy členu 3, jehož výstup je na úrovni L a výstup přenosu pak na úrovni H. tj.
přenos je roven jednotce. Obvod plní funkci. která je přesně určena pravdivostní tabulkou pro sčítání
dvou jednobitových čísel.
Plná sčítačka:
Sčítačku je možno sestavit také tak, že sečte bity dvou čísel, přičemž do součtu zahrne i přenos od
nejblíže nižšího řádu. Takový obvod se označuje jako sériová plná sčítačka. Přiklad zapojení je na
dalším obr. Předpokládejme, že sčítáme bity A=l a B=l, a že klopný obvod byl vynulován. Na obou
vstupech členu 2 jsou úrovně H, na výstupu je úroveň L. Na výstupu členu 2 je tedy úroveň H. Jeden
vstup členu 4 má úroveň L od výstupu Q klopného obvodu, jeho výstup je tedy na úrovni H. Člen 5 má
na jednom svém vstupu úroveň L od invertoru, jeho výstup je rovněž na úrovni H. Výstup S je tedy na
úrovni L, tj. S=0. Jeden vstup členu 7 má úroveň L od výstupu členu 2. Výstup členu 7 má tedy úroveň
H. Ta je vedena na vstup J klopného obvodu, vstup K má úroveň L od invertoru.
Číslicová technika 4 – učební texty (SPŠ Zlín)
str.: - 9 -
1
~A
3
&
4
&
~B
S
&
&
A
&
1
&
B
2
&
6
8
5
&
7
S ET
J
Q
K
~Q
1
RES E T
C
Nulov ání
Obr.: uspořádání úplné sčítačky
Po proběhnutí jednoho hodinového impulsu přejde tedy klopný obvod do stavu H, čímž zachová
informaci o přenosu, který byl roven jednotce. Přijde-li nyní do sčítačky např. bit A=0 a B=0, bude na
výstupu členu 1 úroveň L, na výstupu členu 3 úroveň H. Vstupy členu 4 jsou na úrovni H, na jeho
výstupu je L a na výstupu S úroveň H, tj. S=l. V součtu byl tedy vzat v úvahu i přenos z předchozí
operace. Nu jednom vstupu členu 8 je úroveň L od invenoru, na jeho výstupu je H. Tato úroveň
přichází na jeden vstup členu 7. Na druhý vstup téhož členu působí úroveň H od výstupu členu 2. Na
výstupu členu 7 je tedy úroveň L. Tu se vede na vstup J klopného obvodu. Po proběhnuti dalšího
hodinového impulsu přejde klopný obvod do stavu L. tj. z operace nebyl žádný přenos.
Kombinační obvody plné sčítačky tedy sčítají bity a řídí klopný obvod tak, aby uchoval informaci o
přenosu. Tato informace pak vchází do součtu, který se realizuje v dalším kroku. Klopný obvod zde
pracuje jako typicky paměťový člen.
Pracovní postup plné sčítačky je následující:
a) vynuluje se klopný obvod
b) přivedou se nejméně významné bity čísel A a B, na výstupu S se objeví součet
c) do klopného obvodu se vpustí jeden hodinový impuls, obvod zachová údaj o přenosu
d) přivedou se nejblíže vyšší bity čísel A a B. Na výstupu S se objeví součet zahrnující přenos
e) postup se opakuje pro všechny vyšší bity.
Čísla A a B mohou do plné sčítačky přicházet ze dvou registrů tak, jak je uvedeno na předchozím obr.
Výsledek plného sčítaní muže být veden zpět do jednoho z těchto registru, nebo do dalšího posuvného
registru. Plna sčítačka je složitější než sčítačka poloviční. Při jejím použití však získáme výsledek
součtu v jediném početním cyklu.
S použitím sériového sčítání je možno i násobit. Násobeni se realizuje opakovaným sčítáním. Máme-li
např. realizovat součin (2 • 3) realizujeme součet 2+2+2. Pro opakované sčítaní je možno jeden z
registru sčítačky uspořádat jako registr kruhový. Číslo v něm pak obíhá a s každým během se realizuje
jedno sečtení.
Schmittův klopný obvod
Tento obvod se používá zejména k úpravě tvaru impulsů, které mají být zpracovány logickými obvody.
Mohou přetvářet impulsy obecného tvaru (např. sinusové) na impulsy pravoúhlé. Obvod počne plnit
svoji funkci, jakmile jeho vstupní napětí dosáhne určité prahové hodnoty, nutné pro změnu stavu
Schmittova obvodu. Tyto obvody se proto označují také jako prahové členy.
Schmittův klopný obvod lze realizovat např. dvěma invertory nebo logickými členy NAND,
zapojenými v této funkci. Zapojení je na obr.
Číslicová technika 4 – učební texty (SPŠ Zlín)
str.: - 10 -
Zvětší-li se vstupní napětí obvodu asi nad 1,4 V, přejde výstup členu A na úroveň L a výstup členu B
na úroveň H. Zpětnou vazbou odporem R1 se výstupní kladné napětí přenáší na vstup, který dosud
pracoval s nižší úrovní a urychluje se tím změna stavu obvodu. Jakmile se vstupní napětí zmenší asi
pod 1,4 V, přejde výstup členu A na úroveň H a výstup členu B na úroveň L. Odpor R1 působí
obdobně, jako v předchozím případě. Volbou sériového odporu R2 je možno řídit velikost vstupního
napětí, při němž se mění stavy obvodu. Tento klopný obvod pracuje uspokojivě do kmitočtu asi
10 MHz. Dioda D chrám vstupy členu A před
A
B
nežádoucími účinky záporného napětí.
R2
Schmittovými
klopnými obvody lze např. tvarovat
1
1
sinusové signály tak, aby je bylo možno
Vstup
Výstup
R1
zpracovávat v čítačích. Tyto klopné obvody
slouží též jako detektor úrovně. Stav výstupu
2.2kOhm_ 5%
klopného obvodu určuje, je-li vstupní napětí větší
nebo menší, než je prahové napětí obvodu
Obr.: Schmittův klopný obvod
Obr.: časové průběhy vstupního
Obr.: časové průběhy vstupního a výstupního
a výstupního signálu
signálu invertoru vybaveného
běžného invertoru
Schmittovým klopným obvodem
Na obr. vlevo (běžný invertor) je zobrazen průběh, jak hradlo reaguje na „zarušený“ signál, kde napětí
UTR je úroveň překlápění. Podle kmitočtu a intenzity rušení dostaneme na výstupu sekvenci impulsů.
Schmittův klopný obvod vykazuje hysterezi. Pokud bude invertor vybaven Schmitovým KO, můžeme
na úvod uvažovat s hodnotou vstupního napětí UVST=0 V. Pro tento případ se výstup nachází v log. 1.
Pokud se bude vstupní napětí pohybovat v rozmezí: 0< UVST < UN nebude se stav výstupu měnit a
zůstane v log. 1. Při překročení úrovně UP
(překlápěcí napětí) se výstup obvodu překlopí do log. 0. Pokud bychom nyní napětí vstupu snížili,
nepřeklopil by se obvod zpět okamžitě, ale až při napětí UN. Rozdíl obou prahů překlápění udává šíři
hystereze obvodu.
Schmitův klopný obvod se vyrábí v integrované formě pod označením 74LS132 (4 x obvod NAND) a
74LS14 (6 x invertor).
Číslicová technika 4 – učební texty (SPŠ Zlín)
10.
str.: - 11 -
REALIZACE LOGICKÝCH ČLENŮ
Nejrozšířenějším prvkem log. členů bylo až do nedávné doby elektromagnetické relé. Později se
začaly používat diody, tranzistory a dnes se prakticky používají jen integrované obvody.
Diodové logické členy
Pomocí diod se dá realizovat jak logický součin (obr. 1), tak i logický součet (obr. 2).
Obr. 1: Logický součin
Obr. 2: Logický součet
A
B
A
X
X
B
0V
Tranzistorové logické členy
Obvod s jedním tranzistorem v zapojení se společným emitorem představuje člen logické negace
(obr. 3). Jestliže spojíme výše uvedený součtový člen s členem log. negace tak, že výstupní signál členu
OR přivedeme na bázi tranzistoru, dostaneme člen NOR. Podobně můžeme realizovat člen NAND.
Takovéto logické členy nesly označení DTL.
+12V
X
A
0V
obr. 3: Logická negace
Základním prvkem log. členů v integrovaných obvodech je
logika nazývaná TTL (tranzistor-tranzistor-logika). Na obr.
4 je uvedeno zapojení integrovaného log. členu NAND se
dvěma vstupy.
Obr. 4: zapojení členu NAND se dvěma vstupy
10.1. ZÁKLADNÍ CHARAKTERISTIKY LOGICKÝCH ČLENŮ.
10.1.1. Vstupní charakteristika
Je-li na vstupu obvodu nulové napětí, tj. je-li vstup spojen se společným bodem 0, protéká jím proud
daný napětím UCC, odporem R1, a úbytkem napětí na přechodu báze-emitor tranzistoru T1 v
propustném směru. Velikost proudu je asi -1,1mA. Záporné znaménko určuje směr proudu ven z
obvodu. Zvětšuje-li se napětí na vstupu, záporný proud se zmenšuje. Při vstupním napětí asi 1,4V bude
proud nulový. S dalším zvyšováním napětí na vstupu se přechod
báze-emitor polarizuje do závěrného směru. Vstupní proud je nyní
kladný (vtéká do obvodu) a je určen převážně proudem tohoto
přechodu v závěrném směru. Proud je řádu jednotek až desítek
mikroampérů. Bude-li napětí na vstupu větší než 5V, závěrný proud
přechodu se lavinovitě zvětší a dosáhne se průrazného napětí
přechodu. Zvětšení vstupního napětí pak vede k destrukci
tranzistoru T1.
Přivedeme-li na vstup záporné napětí, bude se záporný vstupní
proud zvětšovat. Při velikosti tohoto napětí asi -1V začne vést tzv.
Číslicová technika 4 – učební texty (SPŠ Zlín)
str.: - 12 -
substrátová dioda označená v obr. jako D. Substrátové diody jsou vytvořeny technologickým postupem
při výrobě integrovaných obvodů a izolují různé části obvodů vůči společné křemíkové podložce substrátu. Substrátová dioda nyní „převezme zatíženi“ obvodu; bude-li se záporné vstupní napětí dále
zvyšovat. zvětší se i proud touto diodou, což může vést k její destrukci a tedy k destrukci celého
obvodu. Pro běžný provoz se proto trvalé zatěžování vstupu záporným napětím nepřipouští. Celá
vstupní charakteristika. jak jsme ji popsali. je na obr. Lze ji rovněž snímat osciloskopickou metodou.
10.1.2 Výstupní charakteristiky
Výstup uvažovaného obvodu je realizován tak, aby dovolovat vhodně řídit vstupy navazujících
integrovaných obvodů téže řady. Je-li vstup ve stavu H, vtéká do něj vstupní proud. Výstup obvodu ve
stavu H musí tedy tento proud dodávat. Je-li vstup ve stavu L, vstupní proud z něj vytéká. Výstup
obvodu ve stavu L musí tedy tento proud přijímat. Je tedy třeba rozlišit zatěžování výstupu, je-li ve
stavu H, a zatěžování výstupu, je-li ve stavu L. Dostáváme tak dvě zatěžovací charakteristiky.
Obr. Vstupní charakteristika log. obvodu NAND pro výstup ve stavu H
Je-li výstup ve stavu H, je jeho napětí udržováno (do jisté míry)
emitorovým sledovačem. Zatěžujeme-li výstup nadměrně, tj.
odebíráme-li z něj větší proud, bude se výstupní napětí se zvětšováním
proudu zmenšovat prakticky lineárně. Výstupní napětí se zmenšuje asi
o 70mV na 1mA. Průběh zatěžovací charakteristiky pro tento stav je na
obr.
Při běžném provozu, jak je definován elektrickými parametry obvodu,
odebírá se v tomto stavu z výstupu proud nejvýše 400mA.
Obr. Vstupní charakteristika log. obvodu NAND pro výstup ve stavu L
Je-li výstup ve stavu L, je výstupní napětí určeno saturačním napětím
tranzistoru T4. Zatěžujeme-li výstup, tj. přivádíme-li do něj proud,
bude se výstupní napětí s proudem zvětšovat. Průběh výstupní
charakteristiky pro tento stav je na obr. Při běžném provozu,
definovaném elektrickými parametry obvodu, je do výstupu přiváděn
proud nejvýše 16mA. Budeme-li z výstupu ve stavu L proud odebírat
(tj. bude-li zatěžovací proud záporný), bude se výstupní napětí
zmenšovat.
10.2. Základní parametry logických hradel.
Vstupní odběr (IVST)
Vstupní odběr je proud odebíraný jedním vstupem logického hradla. Podle typu technologie výroby
může být proud v absolutní hodnotě stejně veliký pro log. 0 i pro log.1 nebo se může pro oba stavy
lišit.
Zatížitelnost výstupu N
Zatížitelnost výstupu udává maximální možný odběr z výstupu hradla, při kterém jsou zaručovány
napěťové úrovně logických stavů a hodnoty dob náběhu a sestupu a šíření signálu ze vstupu na výstup.
Zatížitelnost se udává jako počet vstupů hradel, které lze na výstup připojit. Například: N = 10
znamená, že na výstup lze připojit 10 vstupů hradel ze stejné rodiny logických obvodů.
Výjimečně je zatížitelnost udána v jednotkách elektrického proudu.
Doba náběhu (tN) a doba poklesu (tP)
Doba náběhu udává čas potřebný k náběhu výstupu z 10 % maxima na 90 % maxima. Doba poklesu
udává čas potřebný pro pokles signálu z 90 % maxima na 10 % maxima. Viz obr. 1.1.
Číslicová technika 4 – učební texty (SPŠ Zlín)
str.: - 13 -
Obr.1.1.: Znázornění dob náběhu a poklesu
Obr.1.2.: znázornění doby šíření
Doba šíření (tŠ - také doba průchodu či zpoždění signálu)
Doba šíření (tŠ) udává čas potřebný pro reakci výstupu na změnu vstupu. Obr. 1.2. zobrazuje dobu
šíření pro případ invertoru (na vstupu přechází signál z log.0 do log.1 a zpět, na výstupu je invertován).
Jak je z obr. 1.2 patrné, stanovuje se doba šíření mezi body 50 % maxima. Dále je patrné, že z principu
může být doba šíření různá při náběžné hraně signálu (přechod z log.0 do log.1 ) a sestupné hraně
(přechod z log.1 do log.0). V katalogu je pak udáván aritmetický průměr. U některých typů hradel jsou
obě doby vyváženy
(to je hlavně případ rodiny logických obvodů typu HCT).
Povolený rozkmit vstupního a výstupního napětí
Pokud provozujeme jakýkoliv elektrický obvod, neměli bychom opomenout rušení. To může být
způsobeno například superpozicí síťového kmitočtu 50 Hz na vodiče v obvodu (viz obr. 1.3). Dále
může působit vysokofrekvenční rušení. U nevhodně navržených systémů se může projevovat rušení
vzniklé samotným přepínáním hradel z log.0 do log.1. Důsledkem rušení je fakt, že napěťové úrovně
přicházející do vstupů hradel se mohou v čase měnit a poškozovat signál produkovaný výstupy
předchozích hradel.
Aby se vliv vstupního rušení minimalizoval, může se vstupní napětí pro log.0 i log.1 nacházet v jistém
rozmezí. Například pro vstupy klasických obvodů TTL je jako log.0 bráno napětí v rozsahu 0 až 0,8 V,
jako log.1 je bráno napětí v rozsahu 2 až 5 V. Viz také tabulka.
Druhé nebezpečí poškození signálu je spojeno se zatěžováním výstupu. Výstup hradla, jako u každého
reálného zdroje napětí, vykazuje jistý vnitřní odpor. Rostoucí počet vstupů zatěžujících výstup vede k
poklesu výstupního napětí (proto se také udává zatížitelnost - viz výše). Pro klasické obvody TTL je
výstupní napětí pro log.0 v rozsahu 0 až 0,4 V a pro log.1 v rozsahu 2,4 až 5 V.
Obr. 1.3. Časový průběh vstupního
obdélníkového napětí (log. 0 má napěťovou
úroveň 0, 4 V a log. 1 má napěťovou úroveň
2, 4 V) s nasuperponovanou střídavou
složkou 0,4 V; 50 Hz. Výsledný průběh je
silně vytažen.
Šumová imunita
Šumová imunita je taková velikost napětí,
která může vniknout do spojů mezi hradly, aniž by došlo k reakci na tento parazitní signál.
Šumová imunita může být různá pro log.0 a 1. Pro log. 0 je šumová imunita dána jako rozdíl mezi
nejvyšší hodnotou vstupního napětí pro log.0 a nejvyšší hodnotou výstupního napětí pro log.0.
Například pro klasické obvody TTL je to hodnota 0,4 V (0,8 - 0,4 V).
Pro log. 1 je šumová imunita dána jako rozdíl mezi nejnižší hodnotou vstupního napětí pro log.1 a
nejnižší hodnotou výstupního napětí pro log.1. Například pro klasické obvody TTL je to hodnota 0,4 V
(2,4 - 2,0 V). Pro klasické obvody TTL je šumová imunita stejná pro log. 0 i log. 1
Číslicová technika 4 – učební texty (SPŠ Zlín)
str.: - 14 -
10.3. POROVNÁNÍ VLASTNOSTÍ JEDNOTLIVÝCH RODIN LOGICKÝCH OBVODŮ
V dnešní době je vyráběno mnoho typů logických obvodů. Popsáno bude pouze 5 nejvýznamnějších:
Prvně byly vyráběny obvody označované jako TTL (Transistor-Transistor Logic - tranzistorová
logika).
V současné době nejsou běžně v prodeji, případně je jejich cena neúměrně vysoká. Je to způsobeno
skutečností, že jsou nahrazeny dokonalejšími nástupci. Do této kategorie patří například známý obvod
7400 (čtveřice 2vstupových hradel NAND).
Přímým nástupcem řady TTL je řada TTL LS (Low power & Schottky). Ty jsou navrženy vnitřně tak,
že vstupy mají nižší spotřebu (viz tab.). Obvody této rodiny jsou běžně v prodeji a jejich cena je velmi
příznivá. Patří sem například 74LS00 (obdoba 7400).
Řada CMOS 4000 je vyrobena technologií CMOS (pracuje s unipolárními tranzistory s indukovaným
kanálem P a N). Vnitřní odpor těchto tranzistorů ve stavu sepnuto je poměrně vysoký, takže je vysoká
parazitní kapacita mezi hradlem a emitorem. To způsobuje poměrně špatné dynamické parametry
(nízký mezní kmitočet a dlouhé doby náběhu a poklesu, (viz tab.). Zdánlivou výhodou je možnost
práce v širokém rozsahu napájecích napětí (3 až 15 V). Tato skutečnost je zajištěna optimalizací
parametrů pro maximální napájecí napětí. Při běžném napětí 5 V jsou obvody velmi pomalé. Příkladem
je 4002 (dvojice 4vstupových hradel NOR).
Řada HC (High speed Cmos) je rovněž vyráběna technologií CMOS. Vlastnosti unipolárních
tranzistorů jsou optimalizovány na napětí 5 V. V této řadě jsou vyráběny nejenom obvody se stejnou
funkcí jako v řadách TTL resp. TTL LS, ale i CMOS 4000. Tak si můžete koupit obvody 74HC00, ale
i 74HC4002. Cena je nepatrně vyšší než u obvodů TTL LS.
Přímým nástupcem řady HC je řada HCT (High speed Cmos compatible with TTL). Vlastnosti jsou
upraveny tak, aby byly tyto obvody slučitelné (kompatibilní) s obvody TTL resp. TTL LS. Cena je
srovnatelná s obvody HC a podobně lze koupit například obvody 74HCT00 nebo 74HCT4002.
Poměrně často nás může zajímat otázka vzájemné slučitelnosti obvodů z různých rodin. Tedy jestli je
možno vzájemně propojovat vstupy a výstupy obvodů různých rodin log. obvodů. Ne že by hrozilo
poškození (to snad pouze v případě, že bychom používali obvod CMOS 4000 napájený vyšším napětím
než 5 V), spíše jde o fakt rozdílné definice log. úrovní u jednotlivých rodin.
Tabulka parametrů jednotlivých rodin logických obvodů
Parametr
TTL
TTL LS
HC
HCT
CMOS 4000
-1,4
-0,36
-6,10-3
-10-3
-10-3
IVST log.0 [mA]
-3
-3
0,04
0,1
6 . 10
10
10-3
IVST log.1 [mA]
20
4
4
6
0,4
IVÝST log.0 [mA]
-0,4
-0,4
-4
-6
-0,4
IVÝST log.1 [mA]
4,75 až 5,25
4,5 až 5
2 až 7
4,5 až 5
3 až 15
Napájecí napětí [V]
0 až 0,8
0 až 0,8
0 až 1
0 až 0,8
0 až 1,5
UVST log.0 [V]
2 až 5
2 až 5
3,5 až 5
2 až 5
3,5 až 5
UVST log.1 [V]
0 až 0,4
0 až 0,4
0 až 0,5
0 až 0,3
0 až 0,5
UVÝST log.0 [V]
2,4 až 5
2,7 až 5
4,5 až 5
4,5 až 5
4,5 až 5
UVÝST log.1 [V]
10
20
600
6000
400
N [ks]
20
2 až 5
15
12
60
tN [ns]
15
2
až
5
15
12
60
tP [ns]
0,4
0,4
0,5
0,5
1
Šum. imunita log.0 [V]
0,4
0,7
1
2,5
1
Šum. imunita log.1 [V]

Podobné dokumenty

katalog stavebnic

katalog stavebnic klidový proud 110-150mA, proudový odběr Stand-By 150uA, zatěžovací impedance 4ohmy, výstupní šum 100150uV, špičkový odebíraný proud 6A. Je použit IO TDA1562Q. Při správném sestavení funguje na prvn...

Více

Spínací a číslicová technika

Spínací a číslicová technika Ve dvojkové soustavě se počítá stejným způsobem jako v soustavě desítkové. Číslice se zvětšuje o 1, když všechny číslice u nižších vah jsou 1 ( základ 2 ) nebo 9 ( základ 10 ).V logických systémech...

Více

logické obvody cmos

logické obvody cmos DIP16 DIP16 DIP16 DIP16 DIP16 DIP16 DIP16 DIP16 DIP16 DIP16 DIP16 DIP14

Více

1 na stránku (česky)

1 na stránku (česky) Příkaz sort • Volání: sort [-s] [-kbeg[,end][mod]] [-td] [-ucm] [files] • Setřídí soubory na výstup resp. do souboru (-o file) • Zadání třídícího pole: – beg ... pozice prvního znaku, end ... pozi...

Více

0520 Závěrečný účet za rok 2015

0520 Závěrečný účet za rok 2015 IIl. HLEDrsKA PŘEzKoUMÁNÍ HosPoDAŘr]NÍ Předmět přezkoumání pod|€ se ověfuje z hlediska:

Více

Logické obvody CMOS / TTL

Logické obvody CMOS / TTL vnutit pouze úroveò L (logická 0). Pro vytvoøení úrovnì H (logická 1) musí být zapojen vnìjší rezistor R. Napájecí napìtí Un mùže mít jinou hodnotu než napájení logických obvodù Uc.

Více

Praktikum z elektroniky

Praktikum z elektroniky sklonu V-A charakteristiky v bodě P . Pomocí změny napětí zdroje a opakovaného vykreslování charakteristiky docílíme shody obou průběhů v bodě P . Pro lepší shodu můžeme mírně upravit i odpor dekád...

Více

Hodiny do automobilu

Hodiny do automobilu displeje je urèen proudem segmenty, tedy odporem rezistorù R1 a R2 pro LED1 a odpor referenèního rezistoru R8 pro displeje, buzené IC3. Odpor rezistoru R3 560 Ω nastavuje budicí proud každého segme...

Více

KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je výstup určen

KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je výstup určen v obvodu XOR. Oba paritní bity musí být stejné, neboli PB1 = PB2. V případě rozdílnosti obou bitů vznikla někde chyba v jednom bitu a výstup bude CH = 1 (CH = chyba). Metoda předpokládá, že se chyb...

Více

PLC – hardware – ELE - Střední průmyslová škola Zlín

PLC – hardware – ELE - Střední průmyslová škola Zlín digitální komunikaci s okolím. Tato jednotka je obvykle vybavena tak, aby byla plně soběstačná, tzn. že v jednodušších aplikacích může pracovat samostatně bez jakýchkoliv dalších přidaných prostřed...

Více